Skip to content
Permalink
main
Switch branches/tags

Name already in use

A tag already exists with the provided branch name. Many Git commands accept both tag and branch names, so creating this branch may cause unexpected behavior. Are you sure you want to create this branch?
Go to file
 
 
Cannot retrieve contributors at this time
{
"cells": [
{
"cell_type": "code",
"execution_count": 1,
"metadata": {},
"outputs": [],
"source": [
"import pandas as pd\n",
"import numpy as np \n",
"\n",
"spatio_data_file = \"./data/SpatialFeatures.csv\"\n",
"raw_spatio_feature = pd.read_csv(spatio_data_file)\n",
"raw_spatio_feature\n",
"bikeLane_matrix = raw_spatio_feature[\"BikeLane_miles\"]\n",
"bikeLane_matrix = bikeLane_matrix.fillna(0).to_numpy()\n",
"bikeLane_matrix = bikeLane_matrix/bikeLane_matrix.max()\n",
"bikeLane_matrix_board = bikeLane_matrix[np.newaxis,:] * np.ones((1248,1))"
]
},
{
"cell_type": "code",
"execution_count": 2,
"metadata": {},
"outputs": [],
"source": [
"pd.to_pickle(raw_spatio_feature,\"./data/raw_feature.pkl\")"
]
},
{
"cell_type": "code",
"execution_count": 2,
"metadata": {},
"outputs": [],
"source": [
"import pandas as pd\n",
"import numpy as np\n",
"raw_data = pd.read_pickle(\"./data/raw_feature.pkl\")\n",
"X_train = pd.read_pickle(\"./data/X_train.pkl\")\n",
"y_train = pd.read_pickle(\"./data/y_train.pkl\")\n",
"X_test = pd.read_pickle(\"./data/X_test.pkl\")\n",
"y_test = pd.read_pickle(\"./data/y_test.pkl\")\n",
"\n",
"\n",
"trip_avg_in = y_train.mean(axis = 0)[0]\n",
"trip_avg_out = y_train.mean(axis=0)[1]"
]
},
{
"cell_type": "code",
"execution_count": 4,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 5,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 6,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.image.AxesImage at 0x17de86c4190>"
]
},
"execution_count": 6,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"import matplotlib.pyplot as plt \n",
"plt.imshow(trip_avg_in)"
]
},
{
"cell_type": "code",
"execution_count": 7,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.image.AxesImage at 0x17de87647d0>"
]
},
"execution_count": 7,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"plt.imshow(trip_avg_out)"
]
},
{
"cell_type": "code",
"execution_count": 8,
"metadata": {},
"outputs": [
{
"data": {
"text/html": [
"<div>\n",
"<style scoped>\n",
" .dataframe tbody tr th:only-of-type {\n",
" vertical-align: middle;\n",
" }\n",
"\n",
" .dataframe tbody tr th {\n",
" vertical-align: top;\n",
" }\n",
"\n",
" .dataframe thead th {\n",
" text-align: right;\n",
" }\n",
"</style>\n",
"<table border=\"1\" class=\"dataframe\">\n",
" <thead>\n",
" <tr style=\"text-align: right;\">\n",
" <th></th>\n",
" <th>Join_Count</th>\n",
" <th>TARGET_FID</th>\n",
" <th>BlockID</th>\n",
" <th>BikeLane_miles</th>\n",
" <th>AADT</th>\n",
" <th>SpeedLimit</th>\n",
" <th>AvgSpeed</th>\n",
" <th>Pop2019</th>\n",
" <th>Pop_Density</th>\n",
" <th>Shape_Length</th>\n",
" <th>Shape_Area</th>\n",
" <th>StationNum</th>\n",
" </tr>\n",
" </thead>\n",
" <tbody>\n",
" <tr>\n",
" <th>0</th>\n",
" <td>0</td>\n",
" <td>1</td>\n",
" <td>1</td>\n",
" <td>NaN</td>\n",
" <td>32638.666667</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>0.0</td>\n",
" <td>0.000000</td>\n",
" <td>7840.490288</td>\n",
" <td>3.787844e+06</td>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>1</th>\n",
" <td>0</td>\n",
" <td>2</td>\n",
" <td>2</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>0.0</td>\n",
" <td>0.000000</td>\n",
" <td>7840.686448</td>\n",
" <td>3.787843e+06</td>\n",
" <td>0</td>\n",
" </tr>\n",
" <tr>\n",
" <th>2</th>\n",
" <td>3</td>\n",
" <td>3</td>\n",
" <td>3</td>\n",
" <td>0.807055</td>\n",
" <td>21234.741935</td>\n",
" <td>25.0</td>\n",
" <td>15.0</td>\n",
" <td>1049.0</td>\n",
" <td>0.029594</td>\n",
" <td>7840.882970</td>\n",
" <td>3.787843e+06</td>\n",
" <td>3</td>\n",
" </tr>\n",
" <tr>\n",
" <th>3</th>\n",
" <td>3</td>\n",
" <td>4</td>\n",
" <td>4</td>\n",
" <td>2.096221</td>\n",
" <td>5915.125000</td>\n",
" <td>25.0</td>\n",
" <td>19.0</td>\n",
" <td>1816.0</td>\n",
" <td>0.030252</td>\n",
" <td>7841.080477</td>\n",
" <td>3.787844e+06</td>\n",
" <td>3</td>\n",
" </tr>\n",
" <tr>\n",
" <th>4</th>\n",
" <td>3</td>\n",
" <td>5</td>\n",
" <td>5</td>\n",
" <td>0.984320</td>\n",
" <td>23940.078947</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>1892.0</td>\n",
" <td>0.022647</td>\n",
" <td>7841.277327</td>\n",
" <td>3.787843e+06</td>\n",
" <td>3</td>\n",
" </tr>\n",
" <tr>\n",
" <th>...</th>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" <td>...</td>\n",
" </tr>\n",
" <tr>\n",
" <th>123</th>\n",
" <td>3</td>\n",
" <td>124</td>\n",
" <td>124</td>\n",
" <td>1.955707</td>\n",
" <td>9050.487805</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>1986.0</td>\n",
" <td>0.046045</td>\n",
" <td>7840.280937</td>\n",
" <td>3.787843e+06</td>\n",
" <td>3</td>\n",
" </tr>\n",
" <tr>\n",
" <th>124</th>\n",
" <td>3</td>\n",
" <td>125</td>\n",
" <td>125</td>\n",
" <td>1.440449</td>\n",
" <td>9121.500000</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>1198.0</td>\n",
" <td>0.039726</td>\n",
" <td>7840.085072</td>\n",
" <td>3.787843e+06</td>\n",
" <td>3</td>\n",
" </tr>\n",
" <tr>\n",
" <th>125</th>\n",
" <td>2</td>\n",
" <td>126</td>\n",
" <td>126</td>\n",
" <td>0.985704</td>\n",
" <td>8770.901961</td>\n",
" <td>NaN</td>\n",
" <td>NaN</td>\n",
" <td>555.0</td>\n",
" <td>0.015125</td>\n",
" <td>7839.890518</td>\n",
" <td>3.787843e+06</td>\n",
" <td>2</td>\n",
" </tr>\n",
" <tr>\n",
" <th>126</th>\n",
" <td>4</td>\n",
" <td>127</td>\n",
" <td>127</td>\n",
" <td>0.228593</td>\n",
" <td>12266.085714</td>\n",
" <td>25.0</td>\n",
" <td>16.0</td>\n",
" <td>814.0</td>\n",
" <td>0.031125</td>\n",
" <td>7839.696621</td>\n",
" <td>3.787844e+06</td>\n",
" <td>4</td>\n",
" </tr>\n",
" <tr>\n",
" <th>127</th>\n",
" <td>4</td>\n",
" <td>128</td>\n",
" <td>128</td>\n",
" <td>1.619940</td>\n",
" <td>11077.037037</td>\n",
" <td>25.0</td>\n",
" <td>16.0</td>\n",
" <td>1385.0</td>\n",
" <td>0.059671</td>\n",
" <td>7839.501980</td>\n",
" <td>3.787843e+06</td>\n",
" <td>4</td>\n",
" </tr>\n",
" </tbody>\n",
"</table>\n",
"<p>128 rows × 12 columns</p>\n",
"</div>"
],
"text/plain": [
" Join_Count TARGET_FID BlockID BikeLane_miles AADT \\\n",
"0 0 1 1 NaN 32638.666667 \n",
"1 0 2 2 NaN NaN \n",
"2 3 3 3 0.807055 21234.741935 \n",
"3 3 4 4 2.096221 5915.125000 \n",
"4 3 5 5 0.984320 23940.078947 \n",
".. ... ... ... ... ... \n",
"123 3 124 124 1.955707 9050.487805 \n",
"124 3 125 125 1.440449 9121.500000 \n",
"125 2 126 126 0.985704 8770.901961 \n",
"126 4 127 127 0.228593 12266.085714 \n",
"127 4 128 128 1.619940 11077.037037 \n",
"\n",
" SpeedLimit AvgSpeed Pop2019 Pop_Density Shape_Length Shape_Area \\\n",
"0 NaN NaN 0.0 0.000000 7840.490288 3.787844e+06 \n",
"1 NaN NaN 0.0 0.000000 7840.686448 3.787843e+06 \n",
"2 25.0 15.0 1049.0 0.029594 7840.882970 3.787843e+06 \n",
"3 25.0 19.0 1816.0 0.030252 7841.080477 3.787844e+06 \n",
"4 NaN NaN 1892.0 0.022647 7841.277327 3.787843e+06 \n",
".. ... ... ... ... ... ... \n",
"123 NaN NaN 1986.0 0.046045 7840.280937 3.787843e+06 \n",
"124 NaN NaN 1198.0 0.039726 7840.085072 3.787843e+06 \n",
"125 NaN NaN 555.0 0.015125 7839.890518 3.787843e+06 \n",
"126 25.0 16.0 814.0 0.031125 7839.696621 3.787844e+06 \n",
"127 25.0 16.0 1385.0 0.059671 7839.501980 3.787843e+06 \n",
"\n",
" StationNum \n",
"0 0 \n",
"1 0 \n",
"2 3 \n",
"3 3 \n",
"4 3 \n",
".. ... \n",
"123 3 \n",
"124 3 \n",
"125 2 \n",
"126 4 \n",
"127 4 \n",
"\n",
"[128 rows x 12 columns]"
]
},
"execution_count": 8,
"metadata": {},
"output_type": "execute_result"
}
],
"source": [
"raw_data"
]
},
{
"cell_type": "code",
"execution_count": 9,
"metadata": {},
"outputs": [],
"source": [
"from scipy.stats import pearsonr\n",
"def get_feature_in_2d(df,feature_name):\n",
"\n",
" feature = df[feature_name].fillna(0)\n",
" feature_arranged = np.reshape(feature,(16,8))[::-1,:]\n",
" return feature_arranged\n",
"\n",
"def cal_pearson(feature_matrix,trip_matrix,filter_zero = False,log_text = None):\n",
" f_array = feature_matrix.flatten()\n",
" t_array = trip_matrix.flatten()\n",
" f_array_filter0 = f_array[f_array!=0]\n",
" t_array_filter0 = t_array[f_array!=0]\n",
" if filter_zero:\n",
" correlation, p_value = pearsonr(f_array_filter0, t_array_filter0)\n",
" else:\n",
" correlation, p_value = pearsonr(f_array, t_array) \n",
" if log_text!=None:\n",
" print(log_text)\n",
" print(\"Pearson correlation coefficient:\", correlation)\n",
" print(\"P-value:\", p_value)\n",
"\n",
"\n"
]
},
{
"cell_type": "code",
"execution_count": 10,
"metadata": {},
"outputs": [],
"source": [
"import sys\n",
"file_path = \"pearson_output_filter0.txt\"\n",
"\n",
"with open(file_path,\"w\") as file:\n",
" original_stdout = sys.stdout\n",
" sys.stdout = file\n",
"\n",
" feature_name_list = raw_data.columns\n",
" feature_name_list\n",
" for feature_name in feature_name_list:\n",
" temp_feature = get_feature_in_2d(raw_data,feature_name)\n",
" cal_pearson(temp_feature,trip_avg_in,log_text=feature_name,filter_zero=True)\n",
" print(\"\")\n",
" sys.stdout = original_stdout"
]
},
{
"cell_type": "code",
"execution_count": 11,
"metadata": {},
"outputs": [],
"source": [
"# feature_bikeLane = raw_data[\"BikeLane_miles\"]\n",
"# feature_bikeLane\n",
"\n"
]
},
{
"cell_type": "code",
"execution_count": 12,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"<matplotlib.image.AxesImage at 0x17dff3d47d0>"
]
},
"execution_count": 12,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"feature_bikeLane = get_feature_in_2d(raw_data,\"BikeLane_miles\")\n",
"plot_data = get_feature_in_2d(raw_data,\"BikeLane_miles\")\n",
"\n",
"plt.imshow(plot_data)"
]
},
{
"cell_type": "code",
"execution_count": 13,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Pearson correlation coefficient: 0.6651100883626\n",
"P-value: 1.1054968365962953e-17\n"
]
}
],
"source": [
"# calculate pearson corralation\n",
"\n",
"correlation, p_value = pearsonr(feature_bikeLane.flatten(), trip_avg_out.flatten())\n",
"\n",
"print(\"Pearson correlation coefficient:\", correlation)\n",
"print(\"P-value:\", p_value)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
},
{
"cell_type": "code",
"execution_count": 14,
"metadata": {},
"outputs": [
{
"name": "stdout",
"output_type": "stream",
"text": [
"Pearson correlation coefficient: 0.9981870630298622\n",
"P-value: 1.174508784681668e-155\n"
]
}
],
"source": [
"cal_pearson(trip_avg_out,trip_avg_in,filter_zero=False)"
]
},
{
"cell_type": "code",
"execution_count": null,
"metadata": {},
"outputs": [],
"source": []
}
],
"metadata": {
"kernelspec": {
"display_name": "Python 3",
"language": "python",
"name": "python3"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.11.2"
}
},
"nbformat": 4,
"nbformat_minor": 2
}